Skip to content
Open
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
2 changes: 1 addition & 1 deletion flow/designs/asap7/cva6/config.mk
Original file line number Diff line number Diff line change
Expand Up @@ -95,7 +95,7 @@ export PLACE_DENSITY = 0.69

# a smoketest for this option, there are a
# few last gasp iterations
export SKIP_LAST_GASP ?= 1
#export SKIP_LAST_GASP ?= 1

# For use with SYNTH_HIERARCHICAL
export SYNTH_MINIMUM_KEEP_SIZE ?= 40000
Expand Down
1 change: 1 addition & 0 deletions flow/designs/asap7/cva6/constraint.sdc
Original file line number Diff line number Diff line change
Expand Up @@ -34,3 +34,4 @@ create_clock [get_ports $clk_port] -name $clk_name -period $clk_period


set_false_path -to [get_ports {rvfi_probes_o}]
set_max_fanout 10 [current_design]
4 changes: 1 addition & 3 deletions flow/scripts/synth.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -84,9 +84,7 @@ chformal -remove
delete t:\$print

# rename registers to have the verilog register name in its name
# of the form \regName$_DFF_P_. We should fix yosys to make it the reg name.
# At least this is predictable.
renames -wire
renames -wire -move-to-cell

# Optimize the design
opt -purge
Expand Down
1 change: 1 addition & 0 deletions flow/scripts/util.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -26,6 +26,7 @@ proc repair_timing_helper { args } {
append_env_var additional_args SKIP_BUFFER_REMOVAL -skip_buffer_removal 0
append_env_var additional_args SKIP_LAST_GASP -skip_last_gasp 0
append_env_var additional_args SKIP_VT_SWAP -skip_vt_swap 0
append_env_var additional_args SKIP_CRIT_VT_SWAP -skip_crit_vt_swap 0
append_env_var additional_args MATCH_CELL_FOOTPRINT -match_cell_footprint 0
log_cmd repair_timing {*}$additional_args
}
Expand Down
9 changes: 9 additions & 0 deletions flow/scripts/variables.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -577,6 +577,15 @@ SKIP_VT_SWAP:
- cts
- floorplan
- grt
SKIP_CRIT_VT_SWAP:
description: >
Do not perform VT swap on critical cells to improve QoR (default: do critical VT swap).
This is an additional VT swap on critical cells that remain near the end of setup fixing.
If SKIP_VT_SWAP is set to 1, this also disables critical cell VT swap.
stages:
- cts
- floorplan
- grt
REMOVE_CELLS_FOR_EQY:
description: >
String patterns directly passed to write_verilog -remove_cells <> for
Expand Down
2 changes: 1 addition & 1 deletion tools/OpenROAD
Submodule OpenROAD updated 310 files
Loading