Skip to content

Commit 63cc3f7

Browse files
wkkunatmichalak
authored andcommitted
LINT: Inferred latches
Signed-off-by: Wiktoria Kuna <[email protected]>
1 parent 942035b commit 63cc3f7

File tree

2 files changed

+9
-4
lines changed

2 files changed

+9
-4
lines changed

src/ctrl/flow_active.sv

Lines changed: 2 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -385,6 +385,8 @@ module flow_active
385385
dct_wdata_hw_o = '0;
386386
ibi_queue_wdata_o = '0;
387387
dct_index_hw_o = '0;
388+
resp_data_length_q = '0;
389+
resp_queue_wdata_o = '0;
388390
unique case (state)
389391
// Idle: Wait for command appearance in the Command Queue
390392
Idle: begin
@@ -480,7 +482,6 @@ module flow_active
480482

481483
if (resp_queue_wready_i) begin
482484
resp_queue_wvalid_o = 1'b1;
483-
// TODO: Fix inferred latch 'resp_queue_wdata_o'
484485
resp_queue_wdata_o = resp_desc;
485486
end
486487
end

src/ctrl/flow_standby_i2c.sv

Lines changed: 7 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -154,12 +154,16 @@ module flow_standby_i2c
154154
end
155155
end : update_transfer_active
156156

157+
158+
always_ff @(posedge clk_i or negedge rst_ni) begin : undriven_update_resp_data_length
159+
response_fifo_wdata_o.__rsvd23_16 <= '0;
160+
// TODO: Implement, controller functionality skipped for now
161+
response_fifo_wdata_o.err_status <= i3c_resp_err_status_e'(0);
162+
response_fifo_wdata_o.tid <= '0;
163+
end
157164
always_ff @(posedge clk_i or negedge rst_ni) begin : update_resp_data_length
158165
if (!rst_ni) begin
159166
response_fifo_wdata_o.data_length <= 0;
160-
response_fifo_wdata_o.err_status <= i3c_resp_err_status_e'(0);
161-
response_fifo_wdata_o.tid <= '0;
162-
response_fifo_wdata_o.__rsvd23_16 <= '0;
163167
end
164168
else if (deactivate_transfer) response_fifo_wdata_o.data_length <= transaction_byte_count;
165169
end : update_resp_data_length

0 commit comments

Comments
 (0)