Skip to content

Commit edf329d

Browse files
committed
signal type definition
1 parent f85afb9 commit edf329d

File tree

2 files changed

+85
-85
lines changed

2 files changed

+85
-85
lines changed

rtl/eth_mac_1g.v

Lines changed: 41 additions & 41 deletions
Original file line numberDiff line numberDiff line change
@@ -189,57 +189,57 @@ module eth_mac_1g #
189189

190190

191191
// debug
192-
output [2:0] state_reg_out,
193-
output [2:0] state_next_out,
194-
output reset_crc_out,
195-
output update_crc_out,
192+
output wire [2:0] state_reg_out,
193+
output wire [2:0] state_next_out,
194+
output wire reset_crc_out,
195+
output wire update_crc_out,
196196

197-
output mii_odd_out,
198-
output in_frame_out,
197+
output wire mii_odd_out,
198+
output wire in_frame_out,
199199

200-
output [DATA_WIDTH-1:0] gmii_rxd_d0_out,
201-
output [DATA_WIDTH-1:0] gmii_rxd_d1_out,
202-
output [DATA_WIDTH-1:0] gmii_rxd_d2_out,
203-
output [DATA_WIDTH-1:0] gmii_rxd_d3_out,
204-
output [DATA_WIDTH-1:0] gmii_rxd_d4_out,
200+
output wire [DATA_WIDTH-1:0] gmii_rxd_d0_out,
201+
output wire [DATA_WIDTH-1:0] gmii_rxd_d1_out,
202+
output wire [DATA_WIDTH-1:0] gmii_rxd_d2_out,
203+
output wire [DATA_WIDTH-1:0] gmii_rxd_d3_out,
204+
output wire [DATA_WIDTH-1:0] gmii_rxd_d4_out,
205205

206-
output gmii_rx_dv_d0_out,
207-
output gmii_rx_dv_d1_out,
208-
output gmii_rx_dv_d2_out,
209-
output gmii_rx_dv_d3_out,
210-
output gmii_rx_dv_d4_out,
206+
output wire gmii_rx_dv_d0_out,
207+
output wire gmii_rx_dv_d1_out,
208+
output wire gmii_rx_dv_d2_out,
209+
output wire gmii_rx_dv_d3_out,
210+
output wire gmii_rx_dv_d4_out,
211211

212-
output gmii_rx_er_d0_out,
213-
output gmii_rx_er_d1_out,
214-
output gmii_rx_er_d2_out,
215-
output gmii_rx_er_d3_out,
216-
output gmii_rx_er_d4_out,
212+
output wire gmii_rx_er_d0_out,
213+
output wire gmii_rx_er_d1_out,
214+
output wire gmii_rx_er_d2_out,
215+
output wire gmii_rx_er_d3_out,
216+
output wire gmii_rx_er_d4_out,
217217

218-
output [DATA_WIDTH-1:0] m_axis_tdata_reg_out,
219-
output [DATA_WIDTH-1:0] m_axis_tdata_next_out,
220-
output m_axis_tvalid_reg_out,
221-
output m_axis_tvalid_next_out,
222-
output m_axis_tlast_reg_out,
223-
output m_axis_tlast_next_out,
224-
output m_axis_tuser_reg_out,
225-
output m_axis_tuser_next_out,
218+
output wire [DATA_WIDTH-1:0] m_axis_tdata_reg_out,
219+
output wire [DATA_WIDTH-1:0] m_axis_tdata_next_out,
220+
output wire m_axis_tvalid_reg_out,
221+
output wire m_axis_tvalid_next_out,
222+
output wire m_axis_tlast_reg_out,
223+
output wire m_axis_tlast_next_out,
224+
output wire m_axis_tuser_reg_out,
225+
output wire m_axis_tuser_next_out,
226226

227-
output start_packet_int_reg_out,
228-
output start_packet_reg_out,
229-
output error_bad_frame_reg_out,
230-
output error_bad_frame_next_out,
231-
output error_bad_fcs_reg_out,
232-
output error_bad_fcs_next_out,
227+
output wire start_packet_int_reg_out,
228+
output wire start_packet_reg_out,
229+
output wire error_bad_frame_reg_out,
230+
output wire error_bad_frame_next_out,
231+
output wire error_bad_fcs_reg_out,
232+
output wire error_bad_fcs_next_out,
233233

234-
output [PTP_TS_WIDTH-1:0] ptp_ts_reg_out,
234+
output wire [PTP_TS_WIDTH-1:0] ptp_ts_reg_out,
235235

236-
output [31:0] crc_state_out,
237-
output [31:0] crc_next_out,
236+
output wire [31:0] crc_state_out,
237+
output wire [31:0] crc_next_out,
238238

239-
output clk_enable_out,
240-
output mii_select_out,
239+
output wire clk_enable_out,
240+
output wire mii_select_out,
241241

242-
output cfg_rx_enable_out
242+
output wire cfg_rx_enable_out
243243
);
244244

245245
localparam MAC_CTRL_ENABLE = PAUSE_ENABLE || PFC_ENABLE;

rtl/eth_mac_1g_gmii.v

Lines changed: 44 additions & 44 deletions
Original file line numberDiff line numberDiff line change
@@ -83,62 +83,62 @@ module eth_mac_1g_gmii #
8383
output wire [7:0] gmii_rxd_out,
8484
output wire gmii_rx_dv_out,
8585
output wire gmii_rx_er_out,
86-
output [7:0] mac_gmii_rxd_out,
87-
output mac_gmii_rx_dv_out,
88-
output mac_gmii_rx_er_out,
86+
output wire [7:0] mac_gmii_rxd_out,
87+
output wire mac_gmii_rx_dv_out,
88+
output wire mac_gmii_rx_er_out,
8989

9090

91-
output [2:0] state_reg_out,
92-
output [2:0] state_next_out,
93-
output reset_crc_out,
94-
output update_crc_out,
91+
output wire [2:0] state_reg_out,
92+
output wire [2:0] state_next_out,
93+
output wire reset_crc_out,
94+
output wire update_crc_out,
9595

96-
output mii_odd_out,
97-
output in_frame_out,
96+
output wire mii_odd_out,
97+
output wire in_frame_out,
9898

99-
output [DATA_WIDTH-1:0] gmii_rxd_d0_out,
100-
output [DATA_WIDTH-1:0] gmii_rxd_d1_out,
101-
output [DATA_WIDTH-1:0] gmii_rxd_d2_out,
102-
output [DATA_WIDTH-1:0] gmii_rxd_d3_out,
103-
output [DATA_WIDTH-1:0] gmii_rxd_d4_out,
99+
output wire [DATA_WIDTH-1:0] gmii_rxd_d0_out,
100+
output wire [DATA_WIDTH-1:0] gmii_rxd_d1_out,
101+
output wire [DATA_WIDTH-1:0] gmii_rxd_d2_out,
102+
output wire [DATA_WIDTH-1:0] gmii_rxd_d3_out,
103+
output wire [DATA_WIDTH-1:0] gmii_rxd_d4_out,
104104

105-
output gmii_rx_dv_d0_out,
106-
output gmii_rx_dv_d1_out,
107-
output gmii_rx_dv_d2_out,
108-
output gmii_rx_dv_d3_out,
109-
output gmii_rx_dv_d4_out,
105+
output wire gmii_rx_dv_d0_out,
106+
output wire gmii_rx_dv_d1_out,
107+
output wire gmii_rx_dv_d2_out,
108+
output wire gmii_rx_dv_d3_out,
109+
output wire gmii_rx_dv_d4_out,
110110

111-
output gmii_rx_er_d0_out,
112-
output gmii_rx_er_d1_out,
113-
output gmii_rx_er_d2_out,
114-
output gmii_rx_er_d3_out,
115-
output gmii_rx_er_d4_out,
111+
output wire gmii_rx_er_d0_out,
112+
output wire gmii_rx_er_d1_out,
113+
output wire gmii_rx_er_d2_out,
114+
output wire gmii_rx_er_d3_out,
115+
output wire gmii_rx_er_d4_out,
116116

117-
output [DATA_WIDTH-1:0] m_axis_tdata_reg_out,
118-
output [DATA_WIDTH-1:0] m_axis_tdata_next_out,
119-
output m_axis_tvalid_reg_out,
120-
output m_axis_tvalid_next_out,
121-
output m_axis_tlast_reg_out,
122-
output m_axis_tlast_next_out,
123-
output m_axis_tuser_reg_out,
124-
output m_axis_tuser_next_out,
117+
output wire [DATA_WIDTH-1:0] m_axis_tdata_reg_out,
118+
output wire [DATA_WIDTH-1:0] m_axis_tdata_next_out,
119+
output wire m_axis_tvalid_reg_out,
120+
output wire m_axis_tvalid_next_out,
121+
output wire m_axis_tlast_reg_out,
122+
output wire m_axis_tlast_next_out,
123+
output wire m_axis_tuser_reg_out,
124+
output wire m_axis_tuser_next_out,
125125

126-
output start_packet_int_reg_out,
127-
output start_packet_reg_out,
128-
output error_bad_frame_reg_out,
129-
output error_bad_frame_next_out,
130-
output error_bad_fcs_reg_out,
131-
output error_bad_fcs_next_out,
126+
output wire tart_packet_int_reg_out,
127+
output wire start_packet_reg_out,
128+
output wire error_bad_frame_reg_out,
129+
output wire error_bad_frame_next_out,
130+
output wire error_bad_fcs_reg_out,
131+
output wire error_bad_fcs_next_out,
132132

133-
output [PTP_TS_WIDTH-1:0] ptp_ts_reg_out,
133+
output wire [PTP_TS_WIDTH-1:0] ptp_ts_reg_out,
134134

135-
output [31:0] crc_state_out,
136-
output [31:0] crc_next_out,
135+
output wire [31:0] crc_state_out,
136+
output wire [31:0] crc_next_out,
137137

138-
output clk_enable_out,
139-
output mii_select_out,
138+
output wire clk_enable_out,
139+
output wire mii_select_out,
140140

141-
output cfg_rx_enable_out,
141+
output wire cfg_rx_enable_out,
142142

143143
input wire mii_tx_clk,
144144
output wire gmii_tx_clk,

0 commit comments

Comments
 (0)