Skip to content

Commit f43e4ee

Browse files
committed
style: change id module's name
1 parent b8553db commit f43e4ee

File tree

1 file changed

+51
-51
lines changed

1 file changed

+51
-51
lines changed
Lines changed: 51 additions & 51 deletions
Original file line numberDiff line numberDiff line change
@@ -1,51 +1,51 @@
1-
package treecorel2
2-
3-
import chisel3._
4-
import chisel3.util._
5-
6-
class InstDecode extends Module {
7-
val io = IO(new Bundle {
8-
val globalEn = Input(Bool())
9-
val stall = Input(Bool())
10-
val if2id = Flipped(new IF2IDIO)
11-
val wbdata = Flipped(new WBDATAIO)
12-
val id2ex = new ID2EXIO
13-
val gpr = Output(Vec(32, UInt(64.W)))
14-
})
15-
16-
protected val idReg = RegEnable(io.if2id, WireInit(0.U.asTypeOf(new IF2IDIO())), io.globalEn)
17-
protected val valid = idReg.valid
18-
protected val inst = idReg.inst
19-
protected val pc = idReg.pc
20-
21-
protected val rs1 = inst(19, 15)
22-
protected val rs2 = inst(24, 20)
23-
protected val wdest = inst(11, 7)
24-
25-
protected val decoder = Module(new ISADecoder)
26-
decoder.io.inst := inst
27-
protected val isa = decoder.io.isa
28-
protected val imm = decoder.io.imm
29-
protected val wen = decoder.io.wen
30-
31-
protected val regfile = new RegFile
32-
protected val src1En = io.wbdata.wen && (rs1 === io.wbdata.wdest) && (rs1 =/= 0.U)
33-
protected val src2En = io.wbdata.wen && (rs2 === io.wbdata.wdest) && (rs2 =/= 0.U)
34-
protected val src1 = Mux(src1En, io.wbdata.data, regfile.read(rs1))
35-
protected val src2 = Mux(src2En, io.wbdata.data, regfile.read(rs2))
36-
37-
when(io.globalEn) {
38-
regfile.write(io.wbdata.wen, io.wbdata.wdest, io.wbdata.data)
39-
}
40-
41-
io.id2ex.valid := Mux(io.stall, false.B, valid)
42-
io.id2ex.inst := inst
43-
io.id2ex.pc := pc
44-
io.id2ex.isa := isa
45-
io.id2ex.src1 := src1
46-
io.id2ex.src2 := src2
47-
io.id2ex.imm := imm
48-
io.id2ex.wen := wen
49-
io.id2ex.wdest := wdest
50-
io.gpr := regfile.gpr
51-
}
1+
package treecorel2
2+
3+
import chisel3._
4+
import chisel3.util._
5+
6+
class IDU extends Module {
7+
val io = IO(new Bundle {
8+
val globalEn = Input(Bool())
9+
val stall = Input(Bool())
10+
val if2id = Flipped(new IF2IDIO)
11+
val wbdata = Flipped(new WBDATAIO)
12+
val id2ex = new ID2EXIO
13+
val gpr = Output(Vec(32, UInt(64.W)))
14+
})
15+
16+
protected val idReg = RegEnable(io.if2id, WireInit(0.U.asTypeOf(new IF2IDIO())), io.globalEn)
17+
protected val valid = idReg.valid
18+
protected val inst = idReg.inst
19+
protected val pc = idReg.pc
20+
21+
protected val rs1 = inst(19, 15)
22+
protected val rs2 = inst(24, 20)
23+
protected val wdest = inst(11, 7)
24+
25+
protected val decoder = Module(new ISADecoder)
26+
decoder.io.inst := inst
27+
protected val isa = decoder.io.isa
28+
protected val imm = decoder.io.imm
29+
protected val wen = decoder.io.wen
30+
31+
protected val regfile = new RegFile
32+
protected val src1En = io.wbdata.wen && (rs1 === io.wbdata.wdest) && (rs1 =/= 0.U)
33+
protected val src2En = io.wbdata.wen && (rs2 === io.wbdata.wdest) && (rs2 =/= 0.U)
34+
protected val src1 = Mux(src1En, io.wbdata.data, regfile.read(rs1))
35+
protected val src2 = Mux(src2En, io.wbdata.data, regfile.read(rs2))
36+
37+
when(io.globalEn) {
38+
regfile.write(io.wbdata.wen, io.wbdata.wdest, io.wbdata.data)
39+
}
40+
41+
io.id2ex.valid := Mux(io.stall, false.B, valid)
42+
io.id2ex.inst := inst
43+
io.id2ex.pc := pc
44+
io.id2ex.isa := isa
45+
io.id2ex.src1 := src1
46+
io.id2ex.src2 := src2
47+
io.id2ex.imm := imm
48+
io.id2ex.wen := wen
49+
io.id2ex.wdest := wdest
50+
io.gpr := regfile.gpr
51+
}

0 commit comments

Comments
 (0)