Skip to content

Commit 2a348cb

Browse files
committed
Added SIM change files from 1.5.8
1 parent e6466db commit 2a348cb

File tree

1 file changed

+0
-36
lines changed

1 file changed

+0
-36
lines changed

blackbox_models/cell_sim_blackbox.v

Lines changed: 0 additions & 36 deletions
Original file line numberDiff line numberDiff line change
@@ -82,42 +82,6 @@ module DFFRE (
8282
endmodule
8383
`endcelldefine
8484
//
85-
// DLY_SEL_DCODER black box model
86-
// Address Decoder
87-
//
88-
// Copyright (c) 2024 Rapid Silicon, Inc. All rights reserved.
89-
//
90-
`celldefine
91-
(* blackbox *)
92-
module DLY_SEL_DCODER (
93-
input logic DLY_LOAD,
94-
input logic DLY_ADJ,
95-
input logic DLY_INCDEC,
96-
input logic [4:0] DLY_ADDR,
97-
output reg [2:0] DLY0_CNTRL,
98-
output reg [2:0] DLY1_CNTRL,
99-
output reg [2:0] DLY2_CNTRL,
100-
output reg [2:0] DLY3_CNTRL,
101-
output reg [2:0] DLY4_CNTRL,
102-
output reg [2:0] DLY5_CNTRL,
103-
output reg [2:0] DLY6_CNTRL,
104-
output reg [2:0] DLY7_CNTRL,
105-
output reg [2:0] DLY8_CNTRL,
106-
output reg [2:0] DLY9_CNTRL,
107-
output reg [2:0] DLY10_CNTRL,
108-
output reg [2:0] DLY11_CNTRL,
109-
output reg [2:0] DLY12_CNTRL,
110-
output reg [2:0] DLY13_CNTRL,
111-
output reg [2:0] DLY14_CNTRL,
112-
output reg [2:0] DLY15_CNTRL,
113-
output reg [2:0] DLY16_CNTRL,
114-
output reg [2:0] DLY17_CNTRL,
115-
output reg [2:0] DLY18_CNTRL,
116-
output reg [2:0] DLY19_CNTRL
117-
);
118-
endmodule
119-
`endcelldefine
120-
//
12185
// DLY_SEL_DECODER black box model
12286
// Address Decoder
12387
//

0 commit comments

Comments
 (0)