Skip to content

Commit 9c7cfb4

Browse files
committed
Use report_edges instead
1 parent f77647a commit 9c7cfb4

File tree

2 files changed

+64
-16
lines changed

2 files changed

+64
-16
lines changed

test/liberty_arcs_one2one.ok

Lines changed: 56 additions & 14 deletions
Original file line numberDiff line numberDiff line change
@@ -1,18 +1,60 @@
11
Warning: liberty_arcs_one2one.lib line 48, timing port A and related port Y are different sizes.
22
Warning: liberty_arcs_one2one.lib line 76, timing port A and related port Y are different sizes.
33
TEST 1:
4-
Startpoint Endpoint Slack
5-
--------------------------------------------------------------------------------
6-
a[0] (input) y[0] (output) -1.00
7-
a[1] (input) y[1] (output) -1.00
8-
a[2] (input) y[2] (output) -1.00
9-
a[3] (input) y[3] (output) -1.00
10-
4+
report_edges -from partial_wide_inv_cell/A[0]
5+
A[0] -> Y[0] combinational
6+
v -> v 1.00:1.00
7+
^ -> v 1.00:1.00
8+
^ -> ^ 1.00:1.00
9+
v -> ^ 1.00:1.00
10+
report_edges -from partial_wide_inv_cell/A[1]
11+
A[1] -> Y[1] combinational
12+
v -> v 1.00:1.00
13+
^ -> v 1.00:1.00
14+
^ -> ^ 1.00:1.00
15+
v -> ^ 1.00:1.00
16+
report_edges -from partial_wide_inv_cell/A[2]
17+
A[2] -> Y[2] combinational
18+
v -> v 1.00:1.00
19+
^ -> v 1.00:1.00
20+
^ -> ^ 1.00:1.00
21+
v -> ^ 1.00:1.00
22+
report_edges -from partial_wide_inv_cell/A[3]
23+
A[3] -> Y[3] combinational
24+
v -> v 1.00:1.00
25+
^ -> v 1.00:1.00
26+
^ -> ^ 1.00:1.00
27+
v -> ^ 1.00:1.00
28+
report_edges -from partial_wide_inv_cell/A[4]
29+
report_edges -from partial_wide_inv_cell/A[5]
30+
report_edges -from partial_wide_inv_cell/A[6]
31+
report_edges -from partial_wide_inv_cell/A[7]
1132
TEST 2:
12-
Startpoint Endpoint Slack
13-
--------------------------------------------------------------------------------
14-
a[0] (input) y[0] (output) -1.00
15-
a[1] (input) y[1] (output) -1.00
16-
a[2] (input) y[2] (output) -1.00
17-
a[3] (input) y[3] (output) -1.00
18-
33+
report_edges -to partial_wide_inv_cell/Y[0]
34+
A[0] -> Y[0] combinational
35+
v -> v 1.00:1.00
36+
^ -> v 1.00:1.00
37+
^ -> ^ 1.00:1.00
38+
v -> ^ 1.00:1.00
39+
report_edges -to partial_wide_inv_cell/Y[1]
40+
A[1] -> Y[1] combinational
41+
v -> v 1.00:1.00
42+
^ -> v 1.00:1.00
43+
^ -> ^ 1.00:1.00
44+
v -> ^ 1.00:1.00
45+
report_edges -to partial_wide_inv_cell/Y[2]
46+
A[2] -> Y[2] combinational
47+
v -> v 1.00:1.00
48+
^ -> v 1.00:1.00
49+
^ -> ^ 1.00:1.00
50+
v -> ^ 1.00:1.00
51+
report_edges -to partial_wide_inv_cell/Y[3]
52+
A[3] -> Y[3] combinational
53+
v -> v 1.00:1.00
54+
^ -> v 1.00:1.00
55+
^ -> ^ 1.00:1.00
56+
v -> ^ 1.00:1.00
57+
report_edges -to partial_wide_inv_cell/Y[4]
58+
report_edges -to partial_wide_inv_cell/Y[5]
59+
report_edges -to partial_wide_inv_cell/Y[6]
60+
report_edges -to partial_wide_inv_cell/Y[7]

test/liberty_arcs_one2one.tcl

Lines changed: 8 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -6,12 +6,18 @@ link_design liberty_arcs_one2one_1
66
create_clock -name clk -period 0
77
set_input_delay -clock clk 0 [all_inputs]
88
set_output_delay -clock clk 0 [all_outputs]
9-
report_checks -format summary -group_count 5
9+
for {set i 0} {$i < 8} {incr i} {
10+
puts "report_edges -from partial_wide_inv_cell/A[$i]"
11+
report_edges -from partial_wide_inv_cell/A[$i]
12+
}
1013

1114
puts "TEST 2:"
1215
read_verilog liberty_arcs_one2one_2.v
1316
link_design liberty_arcs_one2one_2
1417
create_clock -name clk -period 0
1518
set_input_delay -clock clk 0 [all_inputs]
1619
set_output_delay -clock clk 0 [all_outputs]
17-
report_checks -format summary -group_count 5
20+
for {set i 0} {$i < 8} {incr i} {
21+
puts "report_edges -to partial_wide_inv_cell/Y[$i]"
22+
report_edges -to partial_wide_inv_cell/Y[$i]
23+
}

0 commit comments

Comments
 (0)