Skip to content

Commit 08165f6

Browse files
committed
Merge remote-tracking branch 'origin/master' into pad-imp
2 parents 8285e9c + 2e8db52 commit 08165f6

File tree

5 files changed

+95
-0
lines changed

5 files changed

+95
-0
lines changed

src/rsz/test/BUILD

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -39,6 +39,7 @@ TESTS = [
3939
"rebuffer1",
4040
"rebuffer1_hier",
4141
"recover_power_verbose",
42+
"remove_buffers_hier1",
4243
"remove_buffers1",
4344
"remove_buffers2",
4445
"remove_buffers3",

src/rsz/test/CMakeLists.txt

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -35,6 +35,7 @@ or_integration_tests(
3535
rebuffer1
3636
rebuffer1_hier
3737
recover_power_verbose
38+
remove_buffers_hier1
3839
remove_buffers1
3940
remove_buffers2
4041
remove_buffers3
Lines changed: 48 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,48 @@
1+
[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13178, timing group from output port.
2+
[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13211, timing group from output port.
3+
[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13244, timing group from output port.
4+
[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13277, timing group from output port.
5+
[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13310, timing group from output port.
6+
[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13343, timing group from output port.
7+
[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13376, timing group from output port.
8+
[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14772, timing group from output port.
9+
[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14805, timing group from output port.
10+
[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14838, timing group from output port.
11+
[INFO ODB-0227] LEF file: asap7/asap7_tech_1x_201209.lef, created 30 layers, 9 vias
12+
[INFO ODB-0227] LEF file: asap7/asap7sc7p5t_28_R_1x_220121a.lef, created 212 library cells
13+
[WARNING STA-0201] remove_buffers_hier1.v line 9, instance tie1 port HI not found.
14+
[WARNING ORD-0011] Hierarchical flow (-hier) is currently in development and may cause multiple issues. Do not use in production environments.
15+
Before removal:
16+
m1/buf1/Y -> B wire
17+
^ -> ^ 0.00:0.00
18+
v -> v 0.00:0.00
19+
Startpoint: i (input port)
20+
Endpoint: k (output port)
21+
Path Group: path delay
22+
Path Type: max
23+
24+
Delay Time Description
25+
---------------------------------------------------------
26+
0.00 0.00 ^ input external delay
27+
0.00 0.00 ^ i (in)
28+
3.41 3.41 v inv1/Y (INVx1_ASAP7_75t_R)
29+
4.46 7.86 ^ m1/inv1/Y (INVx1_ASAP7_75t_R)
30+
12.65 20.51 ^ m1/buf1/Y (BUFx2_ASAP7_75t_R)
31+
19.69 40.20 ^ m1/gate1/Y (AND3x1_ASAP7_75t_R)
32+
3.90 44.10 v inv3/Y (INVx1_ASAP7_75t_R)
33+
0.00 44.10 v k (out)
34+
44.10 data arrival time
35+
36+
10.00 10.00 max_delay
37+
0.00 10.00 output external delay
38+
10.00 data required time
39+
---------------------------------------------------------
40+
10.00 data required time
41+
-44.10 data arrival time
42+
---------------------------------------------------------
43+
-34.10 slack (VIOLATED)
44+
45+
46+
[INFO RSZ-0026] Removed 1 buffers.
47+
After removal:
48+
No paths found.
Lines changed: 24 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,24 @@
1+
source "helpers.tcl"
2+
source "resizer_helpers.tcl"
3+
4+
read_liberty asap7/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz
5+
read_liberty asap7/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz
6+
read_liberty asap7/asap7sc7p5t_OA_RVT_FF_nldm_211120.lib.gz
7+
read_liberty asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz
8+
read_liberty asap7/asap7sc7p5t_SEQ_RVT_FF_nldm_220123.lib
9+
read_lef asap7/asap7_tech_1x_201209.lef
10+
read_lef asap7/asap7sc7p5t_28_R_1x_220121a.lef
11+
12+
read_verilog remove_buffers_hier1.v
13+
link_design -hier top
14+
set_max_delay -from i -to k 10
15+
16+
puts "Before removal:"
17+
report_edges -to m1/gate1/B
18+
report_checks -from i -to k
19+
20+
remove_buffers m1/buf1
21+
22+
puts "After removal:"
23+
report_edges -to m1/gate1/B
24+
report_checks -from i -to k
Lines changed: 21 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,21 @@
1+
module sm(a, b, c);
2+
input a;
3+
output b;
4+
output c;
5+
wire n1, n2;
6+
INVx1_ASAP7_75t_R inv1(.A(a), .Y(n1));
7+
BUFx2_ASAP7_75t_R buf1(.A(n1), .Y(b));
8+
AND3x1_ASAP7_75t_R gate1(.A(n2), .B(b), .C(n2), .Y(c));
9+
TIEHIx1_ASAP7_75t_R tie1(.HI(n2));
10+
endmodule
11+
12+
module top(i, j, k);
13+
input i;
14+
output j;
15+
output k;
16+
wire n1, n2, n3;
17+
sm m1(.a(n1), .b(n2), .c(n3));
18+
INVx1_ASAP7_75t_R inv1(.A(i), .Y(n1));
19+
INVx1_ASAP7_75t_R inv2(.A(n2), .Y(j));
20+
INVx1_ASAP7_75t_R inv3(.A(n3), .Y(k));
21+
endmodule

0 commit comments

Comments
 (0)